CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL Music

搜索资源列表

  1. VHDL_electronic_organ

    0下载:
  2. 简易电子琴,可以弹奏音乐。本课程设计主要内容是基于VHDL语言并利用数控分频器设计硬件电子琴,利用GW48作为课程开发硬件平台,键1至键8设计为电子琴键。某一个LED显示当前的按键的音节数。-Simple organ, can play music. The main contents of this curriculum design is based on the VHDL language and the use of digital hardware design divider org
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:266826
    • 提供者:lsb
  1. beep

    0下载:
  2. 用CPLD驱动扬声器实现音乐的播放,程序是用VERILOG写的,-CPLD driver speakers with music player, the program is written in VERILOG,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:262401
    • 提供者:wagjur
  1. DE2_SD_Card_Audio

    0下载:
  2. 基于SD卡音乐播发器设计代码,SOPC技术,功能齐全的,编译成功的代码-Based on the SD card music broadcast design code, SOPC technology, full-featured, compile the code successfully
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-08
    • 文件大小:1596992
    • 提供者:zhao
  1. music_buzze

    0下载:
  2. 乐曲发生器,可以用FPGA通过BUZZER生成音乐-music buzzer
  3. 所属分类:Document

    • 发布日期:2017-04-26
    • 文件大小:54991
    • 提供者:feng
  1. VHDLbh

    0下载:
  2. 带获胜音乐的拔河游戏机,用计数器 译码器组成-With winning the tug-of-war music video game
  3. 所属分类:Game Program

    • 发布日期:2017-04-04
    • 文件大小:497046
    • 提供者:tommy
  1. music

    0下载:
  2. 用VHDL编写的音乐源程序,仅供初学者参考-a song using VHDL,for reference
  3. 所属分类:Other systems

    • 发布日期:2017-04-11
    • 文件大小:1167
    • 提供者:renaifeng
  1. zhushaoyong

    0下载:
  2. 设计并制作一个14键单音电子琴预先存入一些曲谱电路在4Hz的时钟控制下自动播放 通过220V电源适配器给电路提供工作电源-Design and production of a 14-key electric piano tone into a number of music scores advance in 4Hz clock circuit under the control of automatic play through 220V power adapter to provide
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-17
    • 文件大小:490862
    • 提供者:邱颖
  1. qsy1

    0下载:
  2. 音乐曲目循环演奏器 能够循环演奏编写的音乐乐谱-Music repertoire cycle is capable of playing music circle recital music preparation
  3. 所属分类:Other systems

    • 发布日期:2017-04-07
    • 文件大小:4254
    • 提供者:qiushiyuan
  1. music

    0下载:
  2. 用VHDL 语言设计实现一个10 秒倒计时电路,要求使用8×8 点阵显示计时结果。能在计时到0后开始播放乐曲,同时乐曲可以自由转换。-VHDL Language Design and Implementation with a 10 seconds countdown circuits require the use of 8 × 8 dot matrix display time results. To 0 in time to start playing after the music, a
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:922737
    • 提供者:rainbowuva
  1. yuqu

    0下载:
  2. 蜂鸣器音乐演奏,有ppt说明,及实例工程文件。-Music buzzer, a ppt notes, and examples of engineering documents.
  3. 所属分类:Other systems

    • 发布日期:2017-05-08
    • 文件大小:1782746
    • 提供者:fddib
  1. MUSIC

    0下载:
  2. 频率音乐发生器硬件描述语言VHDL设计程序代码-the vhdl code for muisc player
  3. 所属分类:Multimedia program

    • 发布日期:2017-04-01
    • 文件大小:199668
    • 提供者:小明
  1. mif

    0下载:
  2. 所属分类:Other systems

    • 发布日期:2017-04-06
    • 文件大小:3750
    • 提供者:hanbin
  1. music

    0下载:
  2. 是用VHDL语言编写的乐曲演奏程序,详细的写了各个模块的子程序-VHDL language is the music playing program
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-03
    • 文件大小:6425
    • 提供者:周琳琳
  1. cd1

    0下载:
  2. 实现彩灯的自然数列、奇数列、偶数列、音乐数列的循环显示-To achieve a natural Lantern series, odd-numbered columns, even column, music, series, shows the cycle of
  3. 所属分类:Other windows programs

    • 发布日期:2017-04-03
    • 文件大小:209432
    • 提供者:zmz
  1. music

    0下载:
  2. 是完成一小段音乐程序的开发,然后再用扬声器进行试听。下面主要介绍一下完成本实验的几个主要部分的工作原理。-Is the completion of the development of a short musical program, and then re-use loudspeakers to Lyrics. Following the completion of this experiment focuses on what part of several major works.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-04
    • 文件大小:346761
    • 提供者:huangdeyi
  1. liangzhu

    0下载:
  2. 梁祝乐曲播放VHDL源代码,可以演奏乐曲,在FPGA中可以实现其功能。-Lovers music player VHDL source code, you can play music, can be achieved in the FPGA in its functions.
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-02
    • 文件大小:939
    • 提供者:王凯
  1. ps2

    0下载:
  2. 使用fpga 10k20 和dac0832构成一个音乐发生器,按键采用ps2键盘。可以产生32个音阶-Using fpga 10k20 and dac0832 form a music generator, using ps2 keyboard keys. Can produce 32 scales
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-30
    • 文件大小:67439
    • 提供者:王志华
  1. music

    0下载:
  2. 功能描述:向蜂鸣器发送一定频率的方波可以使蜂鸣器发出相应的音调,该实验通过设计一个状态机和分频器使蜂鸣器发出"多来咪发梭拉西多"的音调。(VHDL)-Function Descr iption: to the buzzer to send a certain frequency square wave can make the appropriate buzzer tone, the experiment by designing a state machine and the divider
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-05-06
    • 文件大小:1078303
    • 提供者:yunhen
  1. vhdl

    0下载:
  2. 关羽用VHDL语言设计乐曲硬件演奏电路以及研究方案-Guan Yu using VHDL, circuit design, and music playing hardware, research programs
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-01
    • 文件大小:236321
    • 提供者:丁浩
  1. music

    0下载:
  2. 8.17电子琴VHDL程序与仿真。2010/05/04-VHDL procedures and simulation of electronic keyboard 8.17. 2010/05/04
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-31
    • 文件大小:49366
    • 提供者:阿锦
« 1 2 34 5 6 7 8 »
搜珍网 www.dssz.com